From 149ed661d46ca12794c8f944b928cc45c4911702 Mon Sep 17 00:00:00 2001 From: Angelo Naselli Date: Mon, 23 Feb 2015 10:34:17 +0100 Subject: added also min_size into test --- t/06-rpmdragora.t | 1 + 1 file changed, 1 insertion(+) diff --git a/t/06-rpmdragora.t b/t/06-rpmdragora.t index e59533d..1be69c5 100644 --- a/t/06-rpmdragora.t +++ b/t/06-rpmdragora.t @@ -21,6 +21,7 @@ SKIP: { "set yesno => 1 to have a yesno dialog otherwhise just ok button is shown", "press ok to continue"), scroll => 1, + min_size => {lines => 18,} ), 'interactive_msg', ); -- cgit v1.2.1